8 Bit Serial To Parallel Converter Verilog Code